> Publications

[ Google Scholar, DBLP ]

Thesis/Tutorial/Patent
§ [PhD]. Addressing Prolonged Restore Challenges in Further Scaling DRAMs [slides (pdf), pptx], Pittsburgh, July 2017.
§ [Tutorial]. A. Gutierrez, X. Zhang, T. Ta and Brad Beckmann, AMD gem5 APU Simulator: Modeling GPUs Using the Machine ISA, The 45th International Symposium on Computer Architecture (ISCA), Los Angeles, California, USA, June 2018.
§ [Patent]. X. Zhang, J. Kalamatianos and B. Beckmann, GPU Cache Management based on Lightweight Locality Type Detection. 16/446119, 2019.
§ [Patent]. S. Puthoor, K. Punniyamurthy, O. Kayiran, X. Zhang, Y. Eckert, J. Alsop and B. Beckmann, Memory Request Priority Assignment Techniques for Parallel Processors. 16/706421, 2019.
§ [Patent]. M. Seyedzadeh, X. Zhang, B. Beckmann and S. Das, Data Compression System Using Base Values and Methods Thereof. 16/724609, 2019.
§ [Patent]. A. Gutierrez, S. Blagodurov, S. Moe, X. Zhang, J. Yin and M. Sinclair, Selecting a Precision Level for Executing a Workload in an Electronic Device. 15/948795, 2018.
2024
§ [C21]. Tianyu Guo, Xuanteng Huang, Kan Wu, Xianwei Zhang and Nong Xiao, SMILE: LLC-based Shared Memory Expansion to Improve GPU Thread Level Parallelism, The 61st ACM/IEEE Design Automation Conference (DAC), San Francisco, CA, United States, June 2024.
§ [C20]. Zejia Lin, Aoyuan Sun, Xianwei Zhang and Yutong Lu, MixPert: Optimizing Mixed-precision Floating-point Emulation on GPU Integer Tensor Cores, The 25th ACM SIGPLAN/SIGBED International Conference on Languages, Compilers, and Tools for Embedded Systems (LCTES), Copenhagen, Denmark, June 2024.
§ [C19]. Zhaowen Shan, Xuanteng Huang, Zheng Zhou, and Xianwei Zhang, openLG: A Tunable and Efficient Open-source LSTM on GPUs, The International Joint Conference on Neural Networks (IJCNN), Yokohama, Japan, June 2024.
2023
§ [C18]. Zejia Lin, Zewei Mo, Xuanteng Huang, Xianwei Zhang and Yutong Lu, KeSCo: Compiler-based Kernel Scheduling for Multi-task GPU Applications, The IEEE 41st International Conference on Computer Design (ICCD), Washington DC, United States, November 2023.
§ [J3]. Xuanteng Huang, Xianwei Zhang, Panfei Yang and Nong Xiao, Benchmarking GPU Tensor Cores on General Matrix Multiplication Kernels through CUTLASS, Applied Sciences, December 2023.
§ [C17]. Xi Zhang, Xiaohu Gu, Yue Weng, Xianwei Zhang, Yutong Lu and Zhong Zhao, Hybrid MPI and CUDA Paralleled Finite Volume Unstructured CFD Simulations on a Multi-GPU System, Future Generation Computer Systems 139 (2023), Februray 2023.
2022
§ [C16]. Tianao Ge, Zewei Mo, Kan Wu, Xianwei Zhang and Yutong Lu, RollBin: Reducing Code-size via Loop Rerolling at Binary Level, The 23rd ACM SIGPLAN/SIGBED International Conference on Languages, Compilers, and Tools for Embedded Systems (LCTES), San Diego, California, United States, June 2022.
§ [C15]. Zewei Mo, Zejia Lin, Xianwei Zhang and Yutong Lu, moTuner: A Compiler-based Auto-tuning Approach for Mixed-precision Operators, The 19th ACM International Conference on Computing Frontiers (CF), Turin, Piedmont, Italy, May 2022.
§ [C14]. Yue Weng, Tianao Ge, Xianwei Zhang and Yutong Lu, RAISE: Efficient GPU Resource Management via Hybrid Scheduling, The 22nd IEEE/ACM International Symposium on Cluster, Cloud and Internet Computing (CCGrid), Taormina (Messina), Italy, May 2022.
2021
§ [J2]. Yue Weng, Xi Zhang, Xiaohu Guo, Xianwei Zhang, Yutong Lu and Yang Liu , Effects of Mesh Loop Modes on Performance of Unstructured Finite Volume GPU Simulations, Advances in Aerodynamics 3(21), 2021.
2020
§ [C13]. Xianwei Zhang and Evgeny Shcherbakov, DELTA: Validate GPU Memory Profiling with Microbenchmarks, The International Symposium on Memory Systems (MemSys), Washington D.C., USA, October 2020.
2019
§ [C12]. Tuan Ta, Xianwei Zhang, Anthony Gutierrez and Brad Beckmann, Autonomous Data-Race-Free GPU Testing, IEEE International Symposium on Workload Characterization (IISWC), Orlando, Florida, USA, November 2019.
§ [C11]. Xianwei Zhang, Rujia Wang, Youtao Zhang and Jun Yang, Boosting Chipkill Capability under Retention-error Induced Reliability Emergency, The 24th Asia and South Pacific Design Automation Conference (ASPDAC), Tokyo, Japan, Janurary 2019.
§ [C10]. John Alsop, Matt Sinclair, Srikant Bharadwaj, Anthony Gutierrez, Xianwei Zhang, Brad Beckmann, Alex Dutu, Onur Kayiran, Michael LeBeane, Brandon Potter, Sooraj Puthoor and Tsung Tai Yeh, Optimizing GPU Cache Policies for MI Workloads (short), IEEE International Symposium on Workload Characterization (IISWC), Orlando, Florida, USA, November 2019.
§ [A1]. John Alsop, Matt Sinclair, Srikant Bharadwaj, Alexandru Dutu, Anthony Gutierrez, Onur Kayiran, Michael LeBeane, Sooraj Puthoor, Xianwei Zhang, Tsung Tai Yeh, Bradford M. Beckmann, Optimizing GPU Cache Policies for MI Workloads, ArXiV, October 2019.
2018
§ [C9]. Anthony Gutierrez, Brad Beckmann, Alexandru Dutu, Joseph Gross, Michael LeBeane, John Kalamatianos, Onur Kayiran, Matthew Poremba, Brandon Potter, Sooraj Puthoor, Matt Sinclair, Mark Wyse, Jieming Yin, Xianwei Zhang, Akshay Jain and Tim Rogers, Lost in Abstraction: Pitfalls of Analyzing GPUs at the Intermediate Language Level, The 24th IEEE International Symposium on High-Performance Computer Architecture (HPCA), Vienna, Austria, February 2018.
2017
§ [C8]. Xianwei Zhang, Youtao Zhang, Bruce R. Childers and Jun Yang, DrMP: Mixed Precision-aware DRAM for High Performance Approximate and Precise Computing, The 26th International Conference on Parallel Architectures and Compilation Techniques (PACT), Portland, Oregon, USA, September 2017.
§ [J1]. Xianwei Zhang, Youtao Zhang, Bruce R. Childers and Jun Yang, On the Restore Time Variations of Future DRAM Memory, ACM Trans. on Design Automation of Electronic Systems (TODAES), 22(2), February 2017.
2016
§ [C7]. Xianwei Zhang, Youtao Zhang, Bruce R. Childers and Jun Yang, AWARD: Approximation-aWAre Restore in Further Scaling DRAM (extended abstract), The International Symposium on Memory Systems (MemSys), Washington D.C., USA, October 2016.
§ [C6]. Xianwei Zhang, Youtao Zhang, Bruce R. Childers and Jun Yang, Restore Truncation for Performance Improvement in Future DRAM Systems, The 22nd IEEE Symposium on High Performance Computer Architecture (HPCA), Barcelona, Spain, March 2016.
2015
§ [C5]. Xianwei Zhang, Youtao Zhang, Bruce R. Childers and Jun Yang, Exploiting DRAM Restore Time Variations in Deep Sub-micron Scaling, The IEEE conference on Design, Automation and Test in Europe (DATE), Grenoble, France, March 2015.
§ [C4]. Xianwei Zhang, Youtao Zhang and Jun Yang, DLB: Dynamic Lane Borrowing for Improving Bandwidth and Performance in Hybrid Memory Cube, The 33rd IEEE International Conference on Computer Design (ICCD), New York City, USA, October 2015.
§ [C3]. Xianwei Zhang, Youtao Zhang and Jun Yang, TriState-SET: Proactive SET for Improved Performance in MLC Phase Change Memories, The 33rd IEEE International Conference on Computer Design (ICCD), New York City, USA, October 2015.
§ [C2]. Xianwei Zhang, Lei Zhao, Youtao Zhang and Jun Yang, Exploit Common Source-Line to Construct Energy Efficient Domain Wall Memory based Caches, The 33rd IEEE International Conference on Computer Design (ICCD), New York City, USA, October 2015.
§ [W1]. Xianwei Zhang, Youtao Zhang and Jun Yang, Adaptive Lane Borrowing of Hybrid Memory Cube, (WIP), The 52nd ACM/IEEE Design Automation Conference (DAC), San Francisco, California, USA, June 2015.
2013
§ [C1]. Xianwei Zhang, Lei Jiang, Youtao Zhang, Chuanjun Zhang and Jun Yang, WoM-SET: Lowering Write Power of Proactive-SET based PCM Write Strategy Using WoM Code, The International Symposium on Low Power Electronics and Design (ISLPED), Beijing, China, September 2013. (Best Paper Award)